Energía proporcional a la computación (Spanish Wikipedia)

Analysis of information sources in references of the Wikipedia article "Energía proporcional a la computación" in Spanish language version.

refsWebsite
Global rank Spanish rank
1,185th place
1,347th place
2nd place
2nd place
652nd place
749th place
low place
low place
121st place
109th place
69th place
148th place
2,569th place
831st place
5,990th place
low place

academia.edu

acm.org

dl.acm.org

  • V. Tiwari, D. Singh, S. Rajgopal, G. Mehta, R. Patel, and F. Baez, "Reducing power in high-performance microprocessors," in Proceedings of the 35th annual conference on Design automation conference - DAC ’98. New York, New York, USA: ACM Press, May 1998, pp. 732–737. [Online]. Available: http://dl.acm.org/citation.cfm?id=277044.277227
  • Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, and P. Bose, "Microarchitectural techniques for power gating of execution units," in Proceedings of the 2004 international symposium on Low power electronics and design - ISLPED ’04. New York, New York, USA: ACM Press, Aug. 2004, p. 32. [Online]. Available: http://dl.acm.org/citation.cfm?id=1013235.1013249
  • H. David, C. Fallin, E. Gorbatov, U. R. Hanebutte, and O. Mutlu, "Memory power management via dynamic voltage/frequency scaling," in Proceedings of the 8th ACM international conference on Autonomic computing - ICAC ’11. New York, New York, USA: ACM Press, Jun. 2011, p. 31. [Online]. Available: http://dl.acm.org/citation.cfm?id=1998582.1998590
  • Malladi, K. T.; Lee, B. C.; Nothaft, F. A.; Kozyrakis, C.; Periyathambi, K.; Horowitz, M. «Towards energy-proportional datacenter memory with mobile DRAM». ACM SIGARCH Computer Architecture News 40 (3): 37. doi:10.1145/2366231.2337164. 
  • K. T. Malladi, I. Shaeffer, L. Gopalakrishnan, D. Lo, B. C. Lee, and M. Horowitz, "Rethinking DRAM Power Modes for Energy Proportionality," in 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE, Dec. 2012, pp. 131–142. [Online]. Available: http://dl.acm.org/citation.cfm?id=2457472.2457492
  • Abts, D.; Marty, M. R.; Wells, P. M.; Klausler, P.; Liu, H. «Energy proportional datacenter networks». ACM SIGARCH Computer Architecture News 38 (3): 338. doi:10.1145/1816038.1816004. 
  • H. Amur, J. Cipar, V. Gupta, G. R. Ganger, M. A. Kozuch, and K. Schwan, "Robust and flexible power-proportional storage," in Proceedings of the 1st ACM symposium on Cloud computing - SoCC ’10. New York, New York, USA: ACM Press, Jun. 2010, p. 217. [Online]. Available: http://dl.acm.org/citation.cfm?id=1807128.1807164
  • A. Verma, R. Koller, L. Useche, and R. Rangaswami, "SRCMap: energy proportional storage using dynamic consolidation," in FAST’10 Proceedings of the 8th USENIX conference on File and storage technologies. USENIX Association, Feb. 2010, p. 20. [Online]. Available: http://dl.acm.org/citation.cfm?id=1855511.1855531
  • D. Tsirogiannis, S. Harizopoulos, and M. A. Shah, "Analyzing the energy efficiency of a database server," in Proceedings of the 2010 international conference on Management of data - SIGMOD ’10. New York, New York, USA: ACM Press, Jun. 2010, p. 231. [Online]. Available: http://dl.acm.org/citation.cfm?id=1807167.1807194
  • Meisner, D.; Gold, B. T.; Wenisch, T. F. «PowerNap: Eliminating Server Idle Power». ACM SIGARCH Computer Architecture News 37 (1): 205. doi:10.1145/2528521.1508269. 
  • Chun, B.-G.; Iannaccone, G.; Iannaccone, G.; Katz, R.; Lee, G.; Niccolini, L. (2010). «An energy case for hybrid datacenters». ACM SIGOPS Operating Systems Review 44 (1): 76. doi:10.1145/1740390.1740408. 
  • S. Bhattacharya, K. Rajamani, K. Gopinath, and M. Gupta, "The interplay of software bloat, hardware energy proportionality and system bottlenecks," in Proceedings of the 4th Workshop on Power-Aware Computing and Systems - HotPower ’11. New York, New York, USA: ACM Press, Oct. 2011, pp. 1–5. [Online]. Available: http://dl.acm.org/citation.cfm?id=2039252.2039253

portal.acm.org

  • S. Herbert and D. Marculescu, "Analysis of dynamic voltage/frequency scaling in chip-multiprocessors," in Proceedings of the 2007 international symposium on Low power electronics and design - ISLPED ’07. New York, New York, USA: ACM Press, 2007, pp. 38–43. [Online]. Available: http://portal.acm.org/citation.cfm?doid=1283780.1283790

doi.acm.org

  • Q. Deng, D. Meisner, L. Ramos, T. F. Wenisch, and R. Bianchini, "MemScale: active low-power modes for main memory," SIGPLAN Not., vol. 46, no. 3, pp. 225–238, Feb. 2011. [Online]. Available: http://doi.acm.org/10.1145/1961296.1950392

arxiv.org

doi.org

dx.doi.org

ieee.org

ieeexplore.ieee.org

morganclaypool.com

springerlink.com

  • T. Härder, V. Hudlet, Y. Ou, and D. Schall, "Energy Efficiency Is Not Enough, Energy Proportionality Is Needed!" in DASFAA Workshops, ser. Lecture Notes in Computer Science, J. Xu, G. Yu, S. Zhou, and R. Unland, Eds., vol. 6637. Berlin, Heidelberg: Springer Berlin Heidelberg, 2011, pp. 226–239. [Online]. Available: http://www.springerlink.com/index/10.1007/978-3-642-20244-5

usenix.org